HotSpot: Visualizing dynamic power consumption in RTL designs

T. English*, K. L. Man, E. Popovici, M. P. Schellekens

*Corresponding author for this work

Research output: Chapter in Book or Report/Conference proceedingConference Proceedingpeer-review

4 Citations (Scopus)

Abstract

We propose 'HotSpot', a tool for the visualization of dynamic power consumption in RTL designs. Using an RTL simulation, the amount of switching activity at major circuit nodes is determined. This information is annotated onto a custom graph of the circuit in the form of 'temperature' colour coding. HotSpot highlights areas in which switching activity (and thereby dynamic power consumption) may be reduced. We survey some existing techniques for dynamic power minimization and discuss how HotSpot may identify opportunities for the application of such techniques at RTL. We believe this could lead to reduced power consumption, faster design turnaround and better design practices in future.

Original languageEnglish
Title of host publicationProceedings of IEEE East-West Design and Test Symposium, EWDTS'08
Pages45-48
Number of pages4
DOIs
Publication statusPublished - 2008
Externally publishedYes
EventIEEE East-West Design and Test Symposium, EWDTS'08 - Lviv, Ukraine
Duration: 9 Oct 200812 Oct 2008

Publication series

NameProceedings of IEEE East-West Design and Test Symposium, EWDTS'08

Conference

ConferenceIEEE East-West Design and Test Symposium, EWDTS'08
Country/TerritoryUkraine
CityLviv
Period9/10/0812/10/08

Cite this